Giuseppe Saieva di 20 giu 2024

Intel ritorna alla leadership dei processi

intel ritorna

Intel segna un ulteriore passo verso il ritorno alla leadership nei processi con la tecnologia Foundry Node Intel 3

Intel Foundry si dedica allo sviluppo di tecnologie innovative per estendere la legge di Moore e fornire agli utenti maggiori capacità per nuove, entusiasmanti applicazioni. Per decenni, abbiamo guidato il settore dei transistor con innovazioni tecnologiche quali l'introduzione del silicio teso nel 2005, il gate stack high-k e il gate stack metallico nel 2009, e portando i transistor nella terza dimensione con l’architettura FinFET nel 2011. Oggi, proseguiamo nella nostra vocazione di pionieri di nuove importanti innovazioni andando a segnare il progresso di aree quali l'intelligenza artificiale e i supercomputer.

Il nodo di processo Intel 3 offre prestazioni superiori fino al 18% a parità di energia utilizzata per un intero core del processore, un set flessibile di opzioni di interconnessione in metallo e una densità fino al 10% superiore rispetto al precedente nodo Intel 4 (1). Questo rappresenta un progresso in termini di prestazioni di un'intera generazione – un risultato notevole considerando che è stato raggiunto in un solo anno – ottenuto attraverso attente ottimizzazioni in quasi tutti gli aspetti del processo, dal transistor allo stack metallico. In particolare, il guadagno in termini di densità deriva dallo sviluppo di una nuova serie di librerie di celle standard ad alta densità.

Nel 2021, Intel ha deciso di riconquistare la leadership nella tecnologia di processo e ha delineato il percorso Cinque Nodi in Quattro Anni (5N4Y) dandosi una serie di obiettivi ambizioni. La roadmap 5N4Y si concentra sulla riconquista della leadership tecnica e sulla dimostrazione di un'esecuzione coerente attraverso un'assunzione di rischi attenta e misurata. Si tratta anche di far progredire l'intero settore, trasformando l'azienda per offrire il meglio delle nostre capacità di progettazione, imballaggio e produzione.

Il nodo Intel 3 ci avvicina al traguardo della roadmap 5N4Y e si basa sui nostri precedenti successi. Con il precedente nodo Intel 4, avevamo infatti introdotto la litografia EUV, una tecnologia complessa che influisce su molteplici aspetti diversi del processo, dal front-end dei transistor alle VIA e alle interconnessioni metalliche nel back-end. Il nodo Intel 4 è utilizzato nella famiglia di processori Intel Core Ultra, che ha inaugurato l'era degli AI PC con più di nove milioni di unità già consegnate.

Come da piano, il nodo Intel 3 è stato dichiarato pronto per entrare in produzione alla fine dello scorso anno. A ulteriore dimostrazione del fatto che la tecnologia di processo Intel è tornata a valori di eccellenza, questo nodo è in produzione massiva presso il nostro sito di ricerca e sviluppo in Oregon e ora anche i chip sono in produzione massiva presso la nostra fab di Leixlip, in Irlanda, compresi i processori per server nella piattaforma Intel Xeon 6, per i clienti della fonderia.

Il nodo di processo Intel 3 include quattro varianti progettate e sviluppate in modo incrementale per ridurre i rischi e consentire un'esecuzione coerente. Queste varianti includono:

  • Il nodo di processo Intel 3-T, che parte dal processo di base e offre through-silicon via (TSV) per applicazioni di stacking 3D come l'elaborazione delle immagini, il calcolo ad alte prestazioni e l'intelligenza artificiale, in cui è necessario integrare più componenti di calcolo e memoria in un unico pacchetto.

  • Il nodo Intel 3-E che aggiunge un ricco set di I/O per interfacce esterne, analogico e funzionalità a segnale misto, ampliando ulteriormente la famiglia.

  • Il nodo Intel 3-PT che combina tutti questi avanzamenti in un unico processo e migliora ulteriormente le prestazioni offrendo anche una facilità d'uso superiore grazie al supporto di TSV 9UM a passo più fine e opzioni di bonding ibrido per uno stacking 3D a densità ancora più elevata. Siamo convinti che il nodo Intel 3-PT offra una combinazione unica di prestazioni, flessibilità e costi per un'ampia varietà di applicazioni. Essendo il più avanzato nodo di processo basato su FinFET, sarà un pilastro e verrà utilizzato insieme alle nuove tecnologie per molti anni a venire, sia per i clienti interni sia per quelli esterni delle fonderie.

Il nodo Intel 3 è anche il primo nodo avanzato di processo di Intel Foundry a essere progettato per assicurare una lunga durata ai clienti di fonderia, con una progressione continua di funzionalità tecnologiche e miglioramenti delle prestazioni pensate per servire un'ampia gamma di applicazioni di progettazione e prodotto.

In sintesi, la tecnologia Intel 3 rappresenta la famiglia più avanzata di nodi di processo FinFET, offrendo un salto generazionale in termini di prestazioni e una densità migliore del 10% rispetto al nodo Intel 4. Intel 3 è entrato in produzione nel quarto trimestre del 2023 ed è ora in produzione massiva per la famiglia di processori Intel Xeon 6. Stiamo mantenendo la nostra promessa di un'esecuzione coerente rispetto al nostro piano 5N4Y e stiamo aprendo la strada alla transizione verso RibbonFET e all'era Angstrom con i nodi di processo Intel 20A e Intel 18A che saranno presentati nel corso del prossimo anno.

Altre Notizie: Importanti novità sulle CPU del futuro da Intel Foundry; Intel Foundry e la produzione di chip High NA EUV;